News

[IEEE Custom Integrated Circuits Conference (CICC), 2024] Sukbin Lim’s paper on A 38.5TOPS/W Point Cloud Neural Network Processor with Virtual Pillar and Quadtree-based Workload Management for Real-Time Outdoor BEV Detection is accepted

Congratulations! We have a paper accepted to IEEE Custom Integrated Circuits Conference (CICC), 2024 “A 38.5TOPS/W Point Cloud Neural Network Processor with Virtual Pillar and Quadtree-based Workload Management for Real-Time Outdoor BEV Detection” Sukbin Lim, Jaehoon Heo, Jinho Yang, Joo-Young Kim

Read More

[IEEE Journal of Solid-State Circuits (JSSC), 2024] Junsoo Kim’s paper on EPU: An Energy-Efficient Explainable AI Accelerator with Sparsity-free Computation and Heat Map Compression/Pruning is accepted

Congratulations! We have a paper accepted to Journal of Solid-State Circuits (JSSC), 2024 “EPU: An Energy-Efficient Explainable AI Accelerator with Sparsity-free Computation and Heat Map Compression/Pruning” Junsoo Kim, Seunghee Han, Geonwoo Ko, Ji-Hoon Kim, Changha Lee, Taewoo Kim, Chan-Hyun Youn, and Joo-Young Kim

Read More

[IEEE/ACM International Conference on Computer-Aided Design 2023] Jaehoon Heo’s paper on Processing-in-DRAM Emulation Framework is accepted

Congratulations! We have a paper accepted to IEEE/ACM International Conference on Computer-Aided Design, 2023 “PRIMO: A Full-Stack Processing-in-DRAM Emulation Framework for Machine Learning Workloads” Jaehoon Heo, Yongwon Shin, Sangjin Choi, Sungwoong Yune, Jung-Hoon Kim, Hyojin Sung, Youngjin Kwon, and Joo-Young Kim

Read More

[ESSCIRC 2023] Je Yang’s paper on Joint-DNN Training Processor is accepted

Congratulations! We have a paper accepted to IEEE European Solid-State Circuits Conference (ESSCIRC), 2023 ” JNPU: A 1.04TFLOPS Joint-DNN Training Processor with Speculative Cyclic Quantization and Triple Heterogeneity on Microarchitecture / Precision / Dataflow ” Je Yang, Sukbin Lim, Sukjin Lee, Jae-Young Kim and Joo-Young Kim

Read More